Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology

Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology
Author :
Publisher :
Total Pages : 0
Release :
ISBN-13 : OCLC:1389581665
ISBN-10 :
Rating : 4/5 ( Downloads)

Book Synopsis Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology by : Minsoo Kim

Download or read book Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology written by Minsoo Kim and published by . This book was released on 2023 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: The semiconductor industry has achieved remarkable progress by adhering to Moore's Law in the past few decades. As a result, technology has continuously scaled down and advanced to the 2nm and 3nm nodes by 2023. The consistent scaling of advanced technologies has made it possible to utilize them in various applications of modern IC designs, such as mobile, data center, automotive, graphics, the Internet of Things (IoT) and artificial intelligence (AI), which may demand high performance and/or ultra-low power consumption. However, the recent slowdown in the traditional Moore's Law scaling rate has presented significant challenges. Therefore, considerable efforts have been devoted to physical design and design-technology co-optimization to optimize the advantages of advanced technology nodes for different applications.This thesis presents robust physical design and design-technology co-optimization methodologies that aim to maximize the benefits of advanced technologies and optimize power, performance, area and cost in modern IC design. The proposed methodologies are categorized into three main directions: (i) general physical design methodologies, (ii) technology-aware physical design methodologies and (iii) design-technology co-optimization methodologies. To address challenges in modern IC design, this thesis presents two works: (i) bounded-skew Steiner tree optimization for clock tree synthesis to minimize active power and (ii) concurrent refinement of detailed place-and-route (P&R) for efficient engineering change order (ECO) automation. To address challenges specific to advanced technology nodes, this thesis presents two works: (i) leakage power optimization with the awareness of local layout effects and (ii) detailed placement for IR drop mitigation by power staple insertions. Finally, to address challenges in design-technology co-optimization at advanced technology nodes, this thesis presents three works: (i) PROBE2.0: A systematic framework for routability assessments, (ii) a routability study using the PROBE2.0 framework with 3nm technology configurations and (iii) PROBE3.0: A systematic framework for power, performance, area and cost explorations, with improved design enablement.


Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology Related Books

Robust Physical Design and Design Technology Co-Optimization Methodologies at Advanced VLSI Technology
Language: en
Pages: 0
Authors: Minsoo Kim
Categories:
Type: BOOK - Published: 2023 - Publisher:

DOWNLOAD EBOOK

The semiconductor industry has achieved remarkable progress by adhering to Moore's Law in the past few decades. As a result, technology has continuously scaled
Physical Design and Technology Optimizations for Advanced VLSI Manufacturing
Language: en
Pages: 247
Authors: Hye In Lee
Categories:
Type: BOOK - Published: 2018 - Publisher:

DOWNLOAD EBOOK

Recent years have seen a significant slowdown of density scaling in advanced semiconductor integrated-circuit products, despite multiple innovations in patterni
Improved Physical Design for Manufacturing Awareness and Advanced VLSI
Language: en
Pages: 197
Authors: Lutong Wang
Categories:
Type: BOOK - Published: 2020 - Publisher:

DOWNLOAD EBOOK

Increasing challenges arise with each new semiconductor technology node, especially in advanced nodes, where the industry tries to extract every ounce of benefi
Layout Optimization in VLSI Design
Language: en
Pages: 292
Authors: Bing Lu
Categories: Computers
Type: BOOK - Published: 2013-06-29 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

Introduction The exponential scaling of feature sizes in semiconductor technologies has side-effects on layout optimization, related to effects such as inter co
VLSI Design Methodology Development
Language: en
Pages: 857
Authors: Thomas Dillinger
Categories: Technology & Engineering
Type: BOOK - Published: 2019-06-17 - Publisher: Prentice Hall

DOWNLOAD EBOOK

The Complete, Modern Tutorial on Practical VLSI Chip Design, Validation, and Analysis As microelectronics engineers design complex chips using existing circuit