Physical Design and Technology Optimizations for Advanced VLSI Manufacturing

Physical Design and Technology Optimizations for Advanced VLSI Manufacturing
Author :
Publisher :
Total Pages : 247
Release :
ISBN-13 : OCLC:1079910469
ISBN-10 :
Rating : 4/5 ( Downloads)

Book Synopsis Physical Design and Technology Optimizations for Advanced VLSI Manufacturing by : Hye In Lee

Download or read book Physical Design and Technology Optimizations for Advanced VLSI Manufacturing written by Hye In Lee and published by . This book was released on 2018 with total page 247 pages. Available in PDF, EPUB and Kindle. Book excerpt: Recent years have seen a significant slowdown of density scaling in advanced semiconductor integrated-circuit products, despite multiple innovations in patterning technologies, device and cell architectures, and design methodologies. Designers are unable to fully leverage the potential power, performance, area and cost benefits offered by new process technologies. Root causes of this inability include the explosion of scenarios in timing signoff, front-end-of-line (FEOL) layout rules that affect placement, sizing-placement interactions that require new co-optimizations, back-end-of-line (BEOL) layout rules and cell height scaling that impact routing, and the increasingly dominant role of BEOL parasitics on final design quality. To address these challenges for modern system-on-chip physical design and signoff in advanced manufacturing nodes, new design optimization techniques as well as methodologies for design-technology co-optimization are required. Accordingly, this thesis presents new physical optimization and evaluation methodologies, organized according to three main thrusts. To address the explosion of corners and modes in timing signoff and the emergence of new sizing-placement interactions, the post-placement gate sizing optimization thrust of this thesis presents a gate sizing optimization considering multi-corner multi-mode constraints; a minimum implant rule-aware gate sizing and placement co-optimization; and heuristics for potential fine-grain exploitation of FDSOI technologies. To address the challenges to scaling brought by new placement rules and reduced-track cell architectures, the detailed placement optimization thrust of this thesis presents an integer linear programming-based incremental detailed placement optimization that considers inter-row and intra-row placement constraints; and a detailed placement optimization that reduces wirelength in the context of new cell architectures with vertical M1 pins. To address the need for design-technology co-optimization, the evaluation of design enablement thrust of this thesis presents analyses of impacts of patterning technology choices and associated routing rules on physical implementation density; a study of impacts of BEOL dimensions on block-level power and area; and a methodology for assessment of routing capacity of a BEOL stack as well as inherent capability of routers.


Physical Design and Technology Optimizations for Advanced VLSI Manufacturing Related Books

Physical Design and Technology Optimizations for Advanced VLSI Manufacturing
Language: en
Pages: 247
Authors: Hye In Lee
Categories:
Type: BOOK - Published: 2018 - Publisher:

DOWNLOAD EBOOK

Recent years have seen a significant slowdown of density scaling in advanced semiconductor integrated-circuit products, despite multiple innovations in patterni
Improved Physical Design for Manufacturing Awareness and Advanced VLSI
Language: en
Pages: 197
Authors: Lutong Wang
Categories:
Type: BOOK - Published: 2020 - Publisher:

DOWNLOAD EBOOK

Increasing challenges arise with each new semiconductor technology node, especially in advanced nodes, where the industry tries to extract every ounce of benefi
Layout Optimization in VLSI Design
Language: en
Pages: 292
Authors: Bing Lu
Categories: Computers
Type: BOOK - Published: 2013-06-29 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

Introduction The exponential scaling of feature sizes in semiconductor technologies has side-effects on layout optimization, related to effects such as inter co
VLSI Physical Design: From Graph Partitioning to Timing Closure
Language: en
Pages: 329
Authors: Andrew B. Kahng
Categories: Technology & Engineering
Type: BOOK - Published: 2022-06-14 - Publisher: Springer Nature

DOWNLOAD EBOOK

The complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software
VLSI Physical Design: From Graph Partitioning to Timing Closure
Language: en
Pages: 310
Authors: Andrew B. Kahng
Categories: Technology & Engineering
Type: BOOK - Published: 2011-01-27 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

Design and optimization of integrated circuits are essential to the creation of new semiconductor chips, and physical optimizations are becoming more prominent